Current Issue

Terry Jernberg

Understanding cap differences and modeling will help identify loop inductance issues early.

We’ve written for months about how to control power delivery. While we have learned the effects of layout on the PDN, we haven’t yet focused on the other major influencing factor: the decoupling capacitor.

These simple, 2-pin devices perform two main tasks: resist a change in voltage across their pins and accumulate and store “charge” that can be delivered from those pins to maintain that voltage. In the world of digital design, this “decoupling” function is huge and is arguably why we do power integrity (PI) simulation in the first place. The power demands of a product’s components are largely defined by its features and performance requirements, which determine supply sizes. Between those lies the power delivery network (PDN), a subject we’ve intensely studied. Composed almost entirely from capacitors and the copper that connects it all together, the success or failure of a PDN is often determined in layout. In previous articles, we’ve written about “loop inductance” and how it impacts the capacitors’ ability to do their job. A solid understanding of cap differences and modeling will help identify loop inductance issues early to ensure a successful PDN.

To continue reading, please log in or register using the link in the upper right corner of the page.

Read more: PDN Effectiveness: The Devil’s in the Decap Details

Terry Jernberg

Conduction avenues for the non-power signals.

We’ve discussed the importance and care required when routing the power delivery network (PDN) of modern printed circuit boards. From how to support current supply needs, loop inductance, and defining layer stack-ups, it may seem we’ve addressed all the power concerns one could have. This is just a fraction of the considerations a designer needs to keep in mind, however. The PDN has an important secondary role that has nothing to do with power delivery. Often forgotten, the PDN is responsible for roughly 50% of the conduction avenues for the non-power signals.

Commonly referred to as return path, this routing “completes the loop,” enabling current to flow. It can be as influential (and problematic) to signal quality as the transmission lines we study in detail. In fact, failure to address the return path is a leading cause of signal integrity issues. Perhaps more troubling, they frequently go undetected even in the setting of comprehensive simulation.

To continue reading, please log in or register using the link in the upper right corner of the page.

Read more: Your PDN’s Other Job: Closing the Loop with the Return Path

Terry Jernberg

Tips for reducing resonance and SSN.

Fast interfaces and switching speeds are becoming commonplace, and with them comes increased noise, amplifying any problems within the power delivery network. Products today are the direct result of the fast signal capabilities in current technology, making it impossible to eliminate noise. This noise can be seen in the form of simultaneous switching noise, which resonates and can combine to destroy the voltage signal and collapse the signal eye. Therefore, the only viable option is to mitigate the noise via containment.

Ground is the point from which every measurement is evaluated. Therefore, any variation will affect timing and voltage. Every signal switching on the board, whether slow or fast, contributes to noise on the power and ground planes. This “ground bounce” is commonly referred to as simultaneous switching noise (SSN) and is essentially crosstalk on the ground (FIGURE 1).

 

 

To continue reading, please log in or register using the link in the upper right corner of the page.

Read more: The Case of the Noisy PDN

Terry Jernberg

In PDN design, maintain a low impedance over a range of frequencies, as opposed to just one.

Achieving a robust and functioning power distribution network isn’t difficult if we provide both the capacity and responsiveness needed at each device. Previous columns addressed capacity concerns, discussing the need for sufficient copper (or an alternative conductor) between a voltage source and any load depending on it for its supply. Here, we build on those and examine what’s required to maintain that network at a steady voltage. This relies on sufficient “energy stores” and the conduction paths needed to deliver charge quickly to any location on the board experiencing “instantaneous demand.”

DC vs. AC (aka static vs. transient). Historically, nearly all power conversations pertaining to printed circuit boards have been lumped into two categories, with the terms “power DC” and “power AC” emerging as almost standard terminology. Power DC is understandable as it addresses PDN capacity issues associated with inadequate copper.

Our experience with DC analysis reveals the simulation process, once thought to be complex, is nothing more than the visualization of Ohm’s law. With voltage defined in our DC supplies, and current by the operating requirements of each load, we found tools could readily calculate the resistance by extracting the geometry of the conductors. Using these resistance models in conjunction with the current needs of each IC (defined by their electrical specifications), it is easy to predict the DC voltage available in each chip given its distance from the source. This makes the cumulative resistance from the source the determining factor defining the DC performance each IC experienced.

To continue reading, please log in or register using the link in the upper right corner of the page.

Read more: Meeting On-time Power Requirements All the Time

Page 216 of 221