AUSTIN, TX – TechSearch International’s latest analysis explains the tough year ahead for semiconductor companies, OSATs, and foundries after the record highs from the Covid-induced spending spree. Market forecasts for Ball Grid Arrays (BGAs) and Chip Scaled Packages (CSPs) are provided in units. The CSP market is divided into laminate (FBGA and FLGA) and leadframe (QFN) substrates. Stacked die package trends are included. Unit growth projections for Cu clip and molded interconnect substrates (MIS) are provided. Package examples and demand drivers are included. TechSearch International analyzes the supply and demand for build-up substrates used for flip chip BGAs and the excess capacity expected resulting from declining demand and inventory. The report includes OSAT financials and examines economic trends impacting the industry with an analysis of CAPEX plans for the year.

Automotive electronics and especially electric vehicles (EVs) are a bright spot. Increased adoption for SiC and GaN in applications including EVs and charging stations, renewable energy, fast charging for mobile devices, and power suppliers are driving demand for legacy packages such as TOs and power modules for SiC and DFNs and QFNs for GaN. Embedded die packages are playing an increased role. With strong growth in China’s EV industry, the country is well positioned with the infrastructure to support the expansion.

The latest Advanced Packaging Update is a 100-page report with full references and an accompanying set of more than 90 PowerPoint slides.

Submit to FacebookSubmit to Google PlusSubmit to TwitterSubmit to LinkedInPrint Article